Expoint – all jobs in one place
Finding the best job has never been easier

Video Engineer jobs in United States, California, Cupertino

Unlock your potential in the high tech industry with Expoint. Search for job opportunities as a Video Engineer in United States, California, Cupertino and join the network of leading companies. Start your journey today and find your dream job as a Video Engineer with Expoint.
Company
Job type
Job categories
Job title (1)
United States
California
Cupertino
2,076 jobs found
09.11.2025
A

Amazon Senior Software Development Engineer AI/ML AWS Neuron Model ... United States, California, Cupertino

Limitless High-tech career opportunities - Expoint
AWS Neuron is the complete software stack for the AWS Inferentia and Trainium cloud scale machineKey job responsibilitiesA day in the lifeAs you design and code solutions to help our...
Description:
Description

AWS Neuron is the complete software stack for the AWS Inferentia and Trainium cloud-scale machine
Key job responsibilities
A day in the life
As you design and code solutions to help our team drive efficiencies in software architecture, you’ll create metrics, implement automation and other improvements, and resolve the root cause of software defects. You’ll also:
Participate in design discussions, code review, and communicate with internal and external stakeholders.Work in a startup-like development environment, where you’re always working on the most important stuff.

Basic Qualifications

- 5+ years of non-internship professional software development experience
- 5+ years of non-internship design or architecture (design patterns, reliability and scaling) of new and existing systems experience
- Fundamentals of Machine learning and LLMs, their architecture, training and inference lifecycles along with work experience on some optimizations for improving the model execution.
- Experience programming with at least one software programming language


Preferred Qualifications

- 5+ years of full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations experience
- Masters degree in computer science or equivalent

Show more
09.11.2025
A

Amazon Software Engineer- AI/ML AWS Neuron Distributed Training United States, California, Cupertino

Limitless High-tech career opportunities - Expoint
Key job responsibilitiesYou will lead efforts to build distributed training support into PyTorch and JAX using XLA, the Neuron compiler, and runtime stacks. You will optimize models to achieve peak...
Description:
Description

Key job responsibilities
You will lead efforts to build distributed training support into PyTorch and JAX using XLA, the Neuron compiler, and runtime stacks. You will optimize models to achieve peak performance and maximize efficiency on AWS custom silicon, including Trainium and Inferentia, as well as Trn2, Trn1, Inf1, and Inf2 servers. Strong software development skills, the ability to deep dive, work effectively within cross-functional teams, and a solid foundation in Machine Learning are critical for success in this role.Diverse Experiences
AWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSWork/Life Balance
Mentorship & Career Growth
We’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.

Basic Qualifications

- 3+ years of non-internship professional software development experience
- 2+ years of non-internship design or architecture (design patterns, reliability and scaling) of new and existing systems experience
- Experience programming with at least one software programming language


Preferred Qualifications

- 3+ years of full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations experience
- Bachelor's degree in computer science or equivalent

Show more

These jobs might be a good fit

09.11.2025
A

Amazon Sr Physical Design Engineer Annapurna Labs United States, California, Cupertino

Limitless High-tech career opportunities - Expoint
Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud Scale Machine Learning Acceleration team you’ll be responsible for the...
Description:
Description

Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud-Scale Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers including AWS Inferentia, Trainium Systems (our custom designed machine learning inference and training datacenter servers). Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. We’re looking for an ASIC Physical Design Engineer to help us trail-blaze new technologies and architectures, while ensuring high design quality and making the right trade-offs.Key job responsibilities
- Work with RTL/logic designers to drive architectural feasibility studies, explore power-performance-area tradeoffs for physical design closure
- Drive IO/Core block physical implementation through synthesis, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, ECO and sign-off
- Develop physical design methodologies
- Evaluate 3rd party IP and provide recommendations

Basic Qualifications

- BS + 8yrs or MS + 6yrs in EE/CS
- 6+ years in ASIC Physical Design from - RTL-to-GDSII in either 7nm, 14/16nm, 20nm, or 28nm
- Block Design using EDA tools (examples: Cadence, Mentor Graphics, Synopsys, or Others) including synthesis, equivalency verification, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, and ECO
- Deep understanding on sign-off activities (timing, ir/em, physical verification)
- Scripting experience with Tcl, Perl or Python


Preferred Qualifications

- Expertise using CAD tools (examples: Cadence, Mentor Graphics, Synopsys, or Others) develop flows for synthesis, formal verification, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, and ECO
- 4+ years in integrating IP and ability to specify and drive IP requirements in the physical domain.
- Thorough knowledge of device physics, custom/semi-custom implementation techniques
- Experience solving physical design challenges across various technologies such as DDR, PCIe, fabrics etc.
- Experience in extraction of design parameters, QOR metrics, and analyzing trends
- Ability to provide mentorship, guidance to junior engineers and be a very effective team player
- Meets/exceeds Amazon’s leadership principles requirements for this role
- Meets/exceeds Amazon’s functional/technical depth and complexity for this role

Show more

These jobs might be a good fit

09.11.2025
A

Amazon Senior Hardware Engineer NPD United States, California, Cupertino

Limitless High-tech career opportunities - Expoint
You’ll join a diverse team of software, hardware, and network engineers, supply chain specialists, security experts, operations managers, and other vital roles. You’ll collaborate with people across AWS to help...
Description:
Description

You’ll join a diverse team of software, hardware, and network engineers, supply chain specialists, security experts, operations managers, and other vital roles. You’ll collaborate with people across AWS to help us deliver the highest standards for safety and security while providing seemingly infinite capacity at the lowest possible cost for our customers. And you’ll experience an inclusive culture that welcomes bold ideas and empowers you to own them to completion.The ideal candidate is a strong hardware board designer with Ethernet networking experience in the areas of terabit switch fabrics, 10/40/100 gigabit interfaces, embedded CPU subsystems, and network processors. Previous experience in defining and designing modular chassis based products is required. Must have previous experience working with Asian ODM and JDM partners, both in development and in manufacturing.You will have previous experience in high speed digital design as a design lead in the networking field. This includes architectural definition, specification, key component selection, detailed design, working closely with PCB designers, testing, and transition to manufacturing. Applying skills in simulation, timing analysis, thermal analysis, and EMC is expected.Diverse Experiences
Amazon values diverse experiences. Even if you do not meet all of the preferred qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.Work/Life BalanceMentorship and Career Growth
We’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.


Basic Qualifications

- Experience in developing functional specifications, design verification plans and functional test procedures
- Experience in motherboard design, PCB design including schematic capture, stackup and circuit board layout
- BSEE with 10+ years experience in hardware board and systems development, must have taken products from concept to mass production
- Recent 5+ years experience with Ethernet switching fabrics, Ethernet PHY and SerDes interfaces, embedded CPU subsytems, DDR2/DDR3/DDR4 memory design, network processors, I2C, USB
- Experience with high-speed PCB design and AC/DC PI
- Recent 5+ years of experience in CPLD/FPGA design using VHDL or Verilog
- Experience troubleshooting with oscilloscopes, logic analyzers, and specialized test equipment such as PCI analyzers and in-circuit-emulators
- Good verbal and written communication skills, must be organized
- Experience as a project and technical lead, program management skills is a must
- Experience interfacing with cross functional teams such mechanical engineers, HW engineers, SI engineers, diagnostic engineers, and manufacturing engineers
- Experienced interfacing with outside vendors and developers, particularly Asian CMs and Asian ODM/JDM partners
- While position is based in Cupertino, candidate should be able to accommodate up to 10% travel to both Seattle and Asia. Candidate must be able to enter both Taiwan and China without visa issues.


Show more

These jobs might be a good fit

09.11.2025
A

Amazon Sr Hardware Engineer - ML Acceleration Annapurna Labs United States, California, Cupertino

Limitless High-tech career opportunities - Expoint
As a member of the Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers. You’ll provide leadership in the application of...
Description:
Description

As a member of the Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers. You’ll provide leadership in the application of new technologies to large scale server deployments in a continuous effort to deliver a world-class customer experience. This is a fast-paced, intellectually challenging position, and you’ll work with thought leaders in multiple technology areas. You’ll have high standards for yourself and everyone you work with, and you’ll be constantly looking for ways to improve your products performance, quality and cost. We’re changing an industry, and we want individuals who are ready for this challenge and want to reach beyond what is possible today.Work/Life Balance
Mentorship and Career Growth
We’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.Diverse Experiences
Amazon values diverse experiences. Even if you do not meet all of the preferred qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.


Basic Qualifications

- Deep knowledge with PCIe interface Gen4 or above, both Electrical and Functional at the chip level and at the PCB level.
- Deep understanding of Transmission line theory and Electromagnetics and its application in SerDes, Single-ended signal and parallel bus interfaces. * Work with ODMs, IP Silicon vendors, component suppliers and internal design teams on cross-boundary triaging, debugging, and resolving issues.
- Hands-on lab equipment skills (VNA, Realtime scope, Sampling scope and its accessories) for electrical validation and characterization.
- Scripting skills to automate tests, logs parsing and data collection.
- Strong technical communication skills (verbal and written) to interface with cross-functional technical leads within and/or outside of the organization.


Show more

These jobs might be a good fit

09.11.2025
A

Amazon Sr Software Engineer- AI/ML AWS Neuron Distributed Training United States, California, Cupertino

Limitless High-tech career opportunities - Expoint
Key job responsibilitiesYou will lead efforts to build distributed training support into PyTorch and JAX using XLA, the Neuron compiler, and runtime stacks. You will optimize models to achieve peak...
Description:
Description

Key job responsibilities
You will lead efforts to build distributed training support into PyTorch and JAX using XLA, the Neuron compiler, and runtime stacks. You will optimize models to achieve peak performance and maximize efficiency on AWS custom silicon, including Trainium and Inferentia, as well as Trn2, Trn1, Inf1, and Inf2 servers. Strong software development skills, the ability to deep dive, work effectively within cross-functional teams, and a solid foundation in Machine Learning are critical for success in this role.Diverse Experiences
AWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSWork/Life Balance
Mentorship & Career Growth
We’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.

Basic Qualifications

- Bachelor's degree in computer science or equivalent
- 5+ years of non-internship professional software development experience
- 5+ years of programming with at least one software programming language experience
- 5+ years of leading design or architecture (design patterns, reliability and scaling) of new and existing systems experience
- 5+ years of full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations experience
- Experience as a mentor, tech lead or leading an engineering team
- Experience in machine learning, data mining, information retrieval, statistics or natural language processing


Preferred Qualifications

- Master's degree in computer science or equivalent
- Experience in computer architecture
- Previous software engineering expertise with Pytorch/Jax/Tensorflow, Distributed libraries and Frameworks, End-to-end Model Training.

Show more

These jobs might be a good fit

09.11.2025
A

Amazon Sr ML Compiler Engineer Annapurna Labs United States, California, Cupertino

Limitless High-tech career opportunities - Expoint
Work with a component engineering team and product engineering team to provide rapid prototyping and characterization of optics or imaging systems. Provide support for modelling and design for prototyping and...
Description:
Description

Key job responsibilities
As a Senior Optics Design Engineer, you will engage with an experienced cross-disciplinary staff to conceive and design innovative consumer products. You will work closely with an internal interdisciplinary team, and outside partners to drive key aspects of product definition, execution, and test. You must be responsive, flexible, and able to succeed within an open collaborative peer environment. In this role, you will:• Work with a component engineering team and product engineering team to provide rapid prototyping and characterization of optics or imaging systems
• Provide support for modelling and design for prototyping and manufacturing of lightguides and lenses.
• Use software tools (LightTools, Zemax etc.) to model system optics characteristics
• Work with cross-functional team to draft component specification, design reviews, work with vendors to manufacture parts and validate results by specifying test parameters and methodologies
• Communicate and manage overseas component manufacturing vendors
A day in the life


Basic Qualifications

- Bachelor's degree in Optical Engineering, Electrical Engineering, Physics, Mechanical Engineering or related field
- 7+ years of experience in the consumer electronics as an optical engineer working on display, camera or diffractive optics system integration
- 7+ years of experience in optical simulation, metrology, testing to verify component, assembly and system level performance
- Experienced in at least one of the following modelling software packages: LightTools, Zemax, FRED
- Hands-on experience with optics component characterization and product integration
- Ability to travel internationally when required

Show more

These jobs might be a good fit

Limitless High-tech career opportunities - Expoint
AWS Neuron is the complete software stack for the AWS Inferentia and Trainium cloud scale machineKey job responsibilitiesA day in the lifeAs you design and code solutions to help our...
Description:
Description

AWS Neuron is the complete software stack for the AWS Inferentia and Trainium cloud-scale machine
Key job responsibilities
A day in the life
As you design and code solutions to help our team drive efficiencies in software architecture, you’ll create metrics, implement automation and other improvements, and resolve the root cause of software defects. You’ll also:
Participate in design discussions, code review, and communicate with internal and external stakeholders.Work in a startup-like development environment, where you’re always working on the most important stuff.

Basic Qualifications

- 5+ years of non-internship professional software development experience
- 5+ years of non-internship design or architecture (design patterns, reliability and scaling) of new and existing systems experience
- Fundamentals of Machine learning and LLMs, their architecture, training and inference lifecycles along with work experience on some optimizations for improving the model execution.
- Experience programming with at least one software programming language


Preferred Qualifications

- 5+ years of full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations experience
- Masters degree in computer science or equivalent

Show more
Find your next career move in the high tech industry with Expoint. Our platform offers a wide range of Video Engineer job opportunities in the United States, California, Cupertino area, giving you access to the best companies in the field. Whether you're looking for a new challenge or a change of scenery, Expoint makes it easy to find your perfect job match. With our easy-to-use search engine, you can quickly find job opportunities in your desired location and connect with top companies. Sign up today and take the next step in your high tech career with Expoint.